以前のリビジョンの文書です


構文リファレンス

基本事項

  • 一般的には .vhd や .vhdl のサフィックスが使われる。
  • 識別子において、大文字/小文字の区別はしない。
  • 単一行コメントは “–” から始まり行末まで。
  • 複数行コメントは存在しない。

library

library ieee;

ライブラリを読み込む。

  • ieee - 標準的なパッケージを収めたライブラリ。
    • ただし、IEEEで定義された物ではないベンダー依存のパッケージが、しれっとieeeライブラリの中に入っていることもあるので注意。
  • work - 自分で定義したパッケージが入るライブラリ。vlib workとかして作ったアレ。

use

use ieee.std_logic_1164.all;

パッケージ内の要素を使えるようにする。指定は ライブラリ名.パッケージ名.要素名 であり、要素名が all の場合はそのパッケージ内全部、となる。

vhdl/syntax.1505274112.txt.gz · 最終更新: 2017/09/13 03:41 by kimu_shu
Driven by DokuWiki Recent changes RSS feed Valid CSS Valid XHTML 1.0