以前のリビジョンの文書です


構文リファレンス

基本事項

  • 一般的には .vhd や .vhdl のサフィックスが使われる。
  • 識別子において、大文字/小文字の区別はしない。
  • 単一行コメントは -- (ハイフン2つ) から始まり行末まで。
  • 複数行コメントは存在しない。

library

-- 構文
library ライブラリ名;
 
-- 例
library ieee;

ライブラリを読み込む。

  • ieee - 標準的なパッケージを収めたライブラリ。
    • ただし、IEEEで定義された物ではないベンダー依存のパッケージが、しれっとieeeライブラリの中に入っていることもあるので注意。
  • work - 自分で定義したパッケージが入るライブラリ。vlib workとかして作ったアレ。

use

-- 構文
use ライブラリ名.パッケージ名.要素名;
use ライブラリ名.パッケージ名.all;
 
-- 例
use ieee.std_logic_1164.all;

パッケージ内の要素を使えるようにする。要素名がallの場合、該当パッケージ内の全要素を使えるようにする。

vhdl/syntax.1505274247.txt.gz · 最終更新: 2017/09/13 03:44 by kimu_shu
Driven by DokuWiki Recent changes RSS feed Valid CSS Valid XHTML 1.0