差分

この文書の現在のバージョンと選択したバージョンの差分を表示します。

この比較画面にリンクする

両方とも前のリビジョン 前のリビジョン
次のリビジョン
前のリビジョン
vhdl:syntax [2017/09/13 03:44]
kimu_shu [基本事項]
vhdl:syntax [2017/09/13 04:18] (現在)
kimu_shu
ライン 9: ライン 9:
  
 ===== library ===== ===== library =====
 +
 +ライブラリを読み込む。読み込んだライブラリの中身を使うのは [[#use]] へ。
  
 <code vhdl> <code vhdl>
ライン 17: ライン 19:
 library ieee; library ieee;
 </​code>​ </​code>​
- 
-ライブラリを読み込む。 
  
   * ieee - 標準的なパッケージを収めたライブラリ。   * ieee - 標準的なパッケージを収めたライブラリ。
ライン 25: ライン 25:
  
 ===== use ===== ===== use =====
 +
 +パッケージ内の要素を使えるようにする。要素名がallの場合、該当パッケージ内の全要素を使えるようにする。
  
 <code vhdl> <code vhdl>
ライン 35: ライン 37:
 </​code>​ </​code>​
  
-パッケージ内要素使えるようにする。要素名がallの場合、該当パッケージ内全要素使えるようする。+ 
 +===== entity ===== 
 + 
 +回路外部インターフェース定義する。内部動作定義は [[#​architecture]] へ。 
 + 
 +<code vhdl> 
 +-- 構文 
 +entity エンティティ名 is 
 +    generic ( 
 +      GENERIC宣言 ​      -- 宣言空っぽの場合generic ( ); を記述してはならない 
 +    );                  -- セミコロン忘れずに 
 +    port ( 
 +      PORT宣言 ​         -- 宣言が空っぽ場合は、port( ); 記述してはならない 
 +    );                  -- セミコロン忘れず 
 +end [entity] [エンティティ名];​ 
 + 
 +-- 例 
 +entity my_module is 
 +    generic ( 
 +        WIDTH : integer := 4 
 +    ); 
 +    port ( 
 +        a : in std_logic_vector(WIDTH-1 downto 0); 
 +    ); 
 +end entity; 
 +</​code>​ 
 + 
 +===== architecture =====
  
  
vhdl/syntax.1505274258.txt.gz · 最終更新: 2017/09/13 03:44 by kimu_shu
Driven by DokuWiki Recent changes RSS feed Valid CSS Valid XHTML 1.0