差分

この文書の現在のバージョンと選択したバージョンの差分を表示します。

この比較画面にリンクする

両方とも前のリビジョン 前のリビジョン
次のリビジョン
前のリビジョン
vhdl:syntax [2017/09/13 03:51]
kimu_shu [use]
vhdl:syntax [2017/09/13 04:18] (現在)
kimu_shu
ライン 9: ライン 9:
  
 ===== library ===== ===== library =====
 +
 +ライブラリを読み込む。読み込んだライブラリの中身を使うのは [[#use]] へ。
  
 <code vhdl> <code vhdl>
ライン 17: ライン 19:
 library ieee; library ieee;
 </​code>​ </​code>​
- 
-ライブラリを読み込む。 
  
   * ieee - 標準的なパッケージを収めたライブラリ。   * ieee - 標準的なパッケージを収めたライブラリ。
ライン 25: ライン 25:
  
 ===== use ===== ===== use =====
 +
 +パッケージ内の要素を使えるようにする。要素名がallの場合、該当パッケージ内の全要素を使えるようにする。
  
 <code vhdl> <code vhdl>
ライン 35: ライン 37:
 </​code>​ </​code>​
  
-パッケージ内の要素を使えるようにする。要素名がallの場合、該当パッケージ内の全要素を使えるようにする。 
  
 +===== entity =====
  
-===== entity =====+回路の外部インターフェースを定義する。内部動作定義は [[#​architecture]] へ。
  
 <code vhdl> <code vhdl>
 -- 構文 -- 構文
 entity エンティティ名 is entity エンティティ名 is
- +    ​generic ( 
-    ​generic (           ​-- 中の宣言が空っぽの場合は、generic( ); を記述してはならない +      GENERIC宣言 ​      -- 宣言が空っぽの場合は、generic ( ); を記述してはならない
-      GENERIC宣言 ​      -- 後述+
     );                  -- セミコロン忘れずに     );                  -- セミコロン忘れずに
- +    ​port ( 
-    ​port (              -- 中の宣言が空っぽの場合は、port( ); を記述してはならない +      PORT宣言 ​         ​-- 宣言が空っぽの場合は、port( ); を記述してはならない
-      PORT宣言 ​         -- 後述+
     );                  -- セミコロン忘れずに     );                  -- セミコロン忘れずに
 end [entity] [エンティティ名];​ end [entity] [エンティティ名];​
ライン 63: ライン 63:
 end entity; end entity;
 </​code>​ </​code>​
 +
 +===== architecture =====
 +
  
vhdl/syntax.1505274660.txt.gz · 最終更新: 2017/09/13 03:51 by kimu_shu
Driven by DokuWiki Recent changes RSS feed Valid CSS Valid XHTML 1.0