差分

この文書の現在のバージョンと選択したバージョンの差分を表示します。

この比較画面にリンクする

両方とも前のリビジョン 前のリビジョン
次のリビジョン
前のリビジョン
vhdl:syntax [2017/09/13 03:51]
kimu_shu [entity]
vhdl:syntax [2017/09/13 04:18] (現在)
kimu_shu
ライン 9: ライン 9:
  
 ===== library ===== ===== library =====
 +
 +ライブラリを読み込む。読み込んだライブラリの中身を使うのは [[#use]] へ。
  
 <code vhdl> <code vhdl>
ライン 17: ライン 19:
 library ieee; library ieee;
 </​code>​ </​code>​
- 
-ライブラリを読み込む。 
  
   * ieee - 標準的なパッケージを収めたライブラリ。   * ieee - 標準的なパッケージを収めたライブラリ。
ライン 25: ライン 25:
  
 ===== use ===== ===== use =====
 +
 +パッケージ内の要素を使えるようにする。要素名がallの場合、該当パッケージ内の全要素を使えるようにする。
  
 <code vhdl> <code vhdl>
ライン 34: ライン 36:
 use ieee.std_logic_1164.all;​ use ieee.std_logic_1164.all;​
 </​code>​ </​code>​
- 
-パッケージ内の要素を使えるようにする。要素名がallの場合、該当パッケージ内の全要素を使えるようにする。 
  
  
 ===== entity ===== ===== entity =====
 +
 +回路の外部インターフェースを定義する。内部動作定義は [[#​architecture]] へ。
  
 <code vhdl> <code vhdl>
ライン 61: ライン 63:
 end entity; end entity;
 </​code>​ </​code>​
 +
 +===== architecture =====
 +
  
vhdl/syntax.1505274718.txt.gz · 最終更新: 2017/09/13 03:51 by kimu_shu
Driven by DokuWiki Recent changes RSS feed Valid CSS Valid XHTML 1.0